先進製程,生變!

全球半導體觀察
07-22

截至2025年,全球半導體產業先進製程技術競賽已邁入1.4nm時代,但是目前的主戰場集中在2納米及以下工藝節點。主要競爭玩家有下方四位,臺積電三星、英特爾,以及半路殺出的日本Rapidus,各廠商在技術推進、市場佈局和戰略調整方面均面臨新的變數和挑戰。

01

1.4納米延期背後:三星先進製程的“進擊”與“取捨”

三星電子正積極調整並集中資源衝刺GAA架構的2納米工藝,公司目標於2025年實現大規模量產。作爲第二代GAA(Gate-All-Around)晶體管技術,三星SF2旨在提供更優異的性能與能效,據悉目前該製程良率已達40%。爲此,三星晶圓代工事業部已在華城S3生產線新增2納米產能(月產7000片),並通過部分3納米產線升級加速SF2的生產爬坡。據悉,三星SF2初期將優先服務於自家的Exynos 2600處理器等移動芯片,隨後擴展至高性能計算(HPC)和汽車電子領域。

在3納米(SF3)工藝方面,三星雖於2022年率先量產基於GAA技術,但初期良率表現曾引發市場疑慮,影響了高端客戶獲取。進入2024年末至2025年,三星官方持續強調其SF3E(3納米增強版)及後續版本良率已顯著改善並趨於穩定。公司正積極爭取包括谷歌(Tensor系列)、高通、聯發科在內的客戶訂單,旨在提升3納米家族的產能利用率和客戶多元化。然而,市場對初期良率的疑慮並未完全消除,部分高端訂單流向仍是焦點。

圖片來源:千庫網

值得關注的是,近期三星對更先進節點戰略進行了調整。2025年6月,在“SAFE Forum 2025”上,三星宣佈將1.4納米(14A)工藝的量產目標推遲至2029年,較原計劃延後兩年,且1.4納米測試線建設亦已暫緩。此舉表明三星在評估當前市場與自身產能/良率優化壓力後,採取了更爲審慎的策略,可能優先集中資源確保2納米節點的成功。

儘管邏輯先進製程代工面臨挑戰,三星在高端封裝技術和存儲芯片領域保持其強勢地位。近期,關於三星在高帶寬內存(HBM)的動態頻繁,公司正積極發展I-Cube(2.5D集成)和FOPLP(扇出型面板級封裝)等先進封裝方案。三星期望通過提供從晶圓代工到存儲、再到先進封裝的“一站式”解決方案,提升對AI芯片客戶的吸引力,以此策略性彌補其在代工市場份額上的劣勢。

02

英特爾IDM2.0的深度較量,先進製程的“矛”與“盾”

目前,英特爾在兌現五年四節點(5N4Y)計劃上進展顯著,關鍵技術與節點量產按部就班。但英特爾的晶圓代工業務在商業化和市場競爭中卻面臨嚴峻挑戰。

20A工藝(2納米級)作爲英特爾首個導入RibbonFET(全環柵晶體管)和PowerVia(背面供電)技術的節點,已於2024年末/2025年初達製造準備狀態,併爲Arrow Lake CPU計算瓦片開始生產爬坡,標誌着英特爾革新性技術進入實際生產。

18A工藝(1.8納米級)被視爲英特爾衝擊領先地位的決定性節點,目標2025年下半年爲Panther Lake筆記本處理器進行生產爬坡。最新市場數據顯示,18A良率進展顯著,正按計劃達大規模製造要求,相較Intel 3可提供25%性能提升或36%功耗降低,同時密度提高約30%。RibbonFET提供精準柵極控制,PowerVia則顯著提升晶體管密度並優化信號傳輸,對AI/HPC芯片至關重要。

而在外部合作方面,英特爾已確認獲得微軟等重要18A工藝訂單,並與聯華電子(UMC)擴大合作,考慮在亞利桑那州工廠生產12納米、14納米、22納米和28納米節點,拓展代工業務多節點佈局。此外,英特爾是ASML High-NA EUV光刻機全球首個客戶,首臺設備已於2023年末運抵研發基地,爲18A及未來節點提供關鍵支持。其在Foveros Direct 3D封裝和EMIB等先進封裝技術上持續投入,廣泛應用於Meteor Lake、Arrow Lake等產品,先進封裝業務有望2025年下半年貢獻收入,並致力於2030年實現萬億晶體管系統,構築差異化吸引力。

圖片來源:千庫網

儘管取得了上述進展,英特爾首席執行官陳立武7月14日在內部講話坦承,英特爾已跌出全球頭部半導體廠商之列,公司面臨嚴峻技術和財務挑戰。

據TrendForce集邦諮詢數據顯示,2025年第一季度臺積電以67.6%份額主導,三星7.7%,中芯國際6.0%,英特爾代工甚至未入前十,凸顯巨大追趕壓力。

路透社報道稱,陳立武可能停止向外部銷售Intel 18A,轉而聚焦14A,儘管其未直接證實,但陳立武強調,目前優先確保18A內部使用穩定。

英特爾下一代14A工藝預計2028年量產,屆時將與臺積電1.4納米工藝同期或更早競爭,風險猶存。戰略上,英特爾承認追趕英偉達在AI模型訓練領域爲時已晚,轉而聚焦邊緣人工智能和自主人工智能。此外,英特爾部分未來產品(如Nova Lake-S客戶端CPU計算瓦片)已在臺積電2納米工藝上流片,反映其混合製造策略及短期對外依賴。

03

Rapidus:2納米先進製程取得實質性進展

日本國家隊半導體企業Rapidus其核心目標是力爭在2027年實現2納米芯片的量產,這家由日本政府和包括豐田、索尼、NTT等八大公司共同投資的企業,正以高強度投入,試圖在極短時間內縮小與全球領先廠商的技術差距。

近日,Rapidus宣佈其在2納米先進製程的推進上已取得實質性進展。該公司已成功在其位於北海道千歲市的IIM-1工廠原型化了2納米全環柵(GAA)晶體管芯片,並完成了首批測試晶圓的電學特性測試,標誌着其向2納米量產目標邁出了關鍵一步。

Rapidus總裁小池淳義(Atsuyoshi Koike)透露,這項進展得益於極紫外(EUV)光刻設備的迅速安裝和啓用。據悉,Rapidus於2024年12月接收了其首臺EUV光刻機,並在約三個月後的2025年4月1日便成功完成了EUV曝光。公司計劃在其先進芯片工廠總共安裝10臺EUV設備,以支持2027年的大規模量產目標。此外,Rapidus還與西門子、Cadence、Quest Global等設計工具和工程服務公司建立了戰略合作,共同開發2納米解決方案,並計劃在2025財年結束前向客戶交付工藝設計套件(PDK),以便客戶開始設計相關芯片。

圖片來源:千庫網

據悉,Rapidus的戰略定位並非與臺積電、三星等巨頭進行全面的大批量標準化芯片代工競爭。相反,公司計劃專注於高附加值、小批量、多品種的定製化半導體。Rapidus董事長東哲郎曾指出,未來的半導體市場將從通用型芯片轉向針對特定應用場景優化設計的專用芯片,尤其在機器人、自動駕駛、遠程醫療以及生成式AI等領域

04

臺積電:先進製程領跑,全球版圖再擴張

臺積電在先進製程領域的領導地位持續鞏固,體現在各工藝節點的穩健推進與下一代技術的超前部署上。

2025年第二季度財報顯示,臺積電營收達300.7億美元,同比大增44.4%。 其中,7納米及以下先進工藝營收佔比高達74%,凸顯技術主導力。具體而言,3納米制程貢獻了24%的晶圓銷售額,5納米制程佔36%,7納米制程則佔14%,主要得益於AI及高性能計算(HPC)需求的持續強勁。

2納米(N2)工藝正按計劃於2025年下半年量產。臺積電總裁魏哲家指出,儘管N2尚未貢獻營收,但受惠於智能手機和HPC應用驅動,N2前兩年的新開案數量預計將高於3/5納米前兩年的總和。行業消息進一步顯示,面對2納米供應嚴重喫緊,臺積電正大幅擴產,目標將2納米月產能由今年底的4萬片大增1.5倍至2026年的10萬片,並有望在2027年再翻一倍至20萬片。業界推估,最快2027年,2納米有望成爲臺積電7納米以下先進製程中,產能規模最大的節點。另外,爲進一步提升性能和功耗,臺積電計劃推出N2系列延伸版N2P,預計2026年下半年量產。

臺積電的A16(1.6納米)工藝,其中的“A”代表“埃米”(Angstrom),標誌着公司進入晶體管微縮的新時代,側重於系統級性能優化。A16的核心創新在於引入Super PowerRail(SPR)背面供電技術。SPR尤其適用於需要高電流密度和高效能傳輸的AI加速器和高性能計算(HPC)芯片,能顯著降低IR壓降(電壓下降)並提升電源效率。A16工藝計劃於2026年下半年量產,相較於N2P,A16有望在相同功耗下提升8%-10%的速度,或在相同速度下降低15%-20%的功耗,同時邏輯密度增加7%-10%。

圖片來源:千庫網

A14(1.4納米級)則是臺積電規劃的下一代先進邏輯製程技術,也是埃米時代的進一步演進。該工藝將在A16的基礎上,繼續通過創新提供更快的計算速度和更高的能效,旨在推動人工智能轉型。據魏哲家透露,A14目前開發進度良好,零組件性能和良率改善均達到或超前計劃,預計2028年量產。與N2製程相比,A14有望在相同功耗下,提升達15%的速度;或在相同速度下,降低達30%的功率,同時邏輯密度增加超過20%。A14將鞏固臺積電在最尖端計算領域的領先地位。

在產能佈局上,臺積電積極擴展其全球製造版圖。魏哲家透露,未來幾年內臺積電將在中國臺灣地區建設11座晶圓廠與4座先進封裝廠,並於新竹與高雄兩地展開2納米佈局,強化本土根基。

美國建廠方面,臺積電在亞利桑那州規劃建設6座先進晶圓廠、2座先進封裝廠和1個研發中心,總資本支出預計將超過1650億美元。目前,第一座晶圓廠已開始生產4納米芯片;第二座3納米晶圓廠已完成建設,爲配合客戶需求正加速建廠,量產時程有望提前幾個季度;採用2納米和A16技術的第三座晶圓廠也已開始建設。魏哲家進一步指出,第四座晶圓廠預計採用N2和A16製程;第五與第六座晶圓廠將採用更先進製程,建設進度將依據市場需求而定。而在日本,熊本一期工廠已投產,二期也在建設中,計劃投資200億美元。

面對AI芯片對異構集成和高帶寬的迫切需求,臺積電的先進封裝技術已成爲其保持競爭優勢的關鍵。

臺積電的3DFabric™平臺,包含CoWoS®、InFO和SoIC®等前端及後端技術,提供從晶圓級到系統級封裝的完整解決方案。在AI芯片領域,CoWoS技術需求激增,臺積電正顯著擴大其CoWoS產能,預計2026年先進封裝產能將翻倍。CoWoS-S型封裝已被英偉達等主要AI芯片客戶廣泛採用,未來還將向CoWoS-L型過渡,以支持更復雜的AI芯片設計。SoIC®(芯粒堆疊)技術也已進入第二年量產,進一步提升AI芯片集成度和性能。

05

光刻機戰略分歧:先進製程主要競爭者在高端光刻機策略上的異同

在最尖端光刻技術應用上,晶圓代工龍頭臺積電、英特爾、三星,以及新入局的日本Rapidus展現出各具特色的戰略選擇。儘管臺積電已大規模部署並依靠ASML現有的低數值孔徑(Low-NA)EUV光刻機生產3納米和2納米等先進製程芯片,並佔據全球EUV設備的主導地位,但面對ASML最新、性能更強但同時造價高達3.7億至4億美元一臺的高數值孔徑(High-NA)EUV光刻機,各方態度不一。

High-NA EUV光刻機(型號如EXE:5000/EXE:5200)憑藉其0.55的更高數值孔徑,理論上能夠刻畫出更爲精細的電路圖案,實現更高的晶體管密度,代表着半導體制造技術的未來演進方向。然而,單臺設備高昂的成本及其在現有大規模生產流程中集成的複雜性與不確定性,無疑將對未來芯片的製造成本和量產穩定性構成顯著挑戰。

圖片來源:千庫網

英特爾作爲ASML High-NA EUV的全球首個客戶,已於2023年底/2024年初率先接收並開始部署該設備。英特爾將High-NA EUV視爲其在14A及未來更先進節點研發中的關鍵工具,寄望以此加速其製程技術的追趕步伐,並重奪行業領導地位,顯示其激進的重返領先戰略。

作爲另一大晶圓代工巨頭,三星亦被報道已訂購High-NA EUV光刻機,但其部署節奏可能相對審慎,有望將其High-NA EUV應用於其1.4納米(14A)甚至更靠後的節點,以確保技術成熟度和成本效益。

此外,由日本主要科技企業和政府共同支持的新公司Rapidus,目標是在2027年前實現2納米芯片的量產,爲此已明確表示將採購High-NA EUV設備,以期跳躍式發展,迅速縮小與行業領導者的技術差距。

臺積電對此持更爲審慎的評估態度。臺積電高級副總裁張曉強(Kevin Zhang)曾明確表示,公司正在深入評估High-NA EUV應用於未來製程節點的成本效益和可擴展性。他指出,對於臺積電的A16甚至A14工藝,目前並沒有“迫切”大規模導入High-NA EUV設備的需求。

臺積電深信,通過持續精進現有技術,公司仍能達到未來製程節點所需的性能和密度目標。首先,極致優化現有Low-NA EUV技術是其重要方向。臺積電致力於通過不斷提升現有0.33數值孔徑EUV設備的生產效率、精度和良率,最大限度地發揮現有投資潛能,從而最大化投資回報並有效延展其技術生命週期。

其次,臺積電更側重於融合顛覆性工藝創新,而非僅僅依賴光刻設備的更新迭代。一個顯著的例證便是在A16工藝中引入的背面供電(Super PowerRail)技術。這項創新通過優化芯片內部的電源傳輸路徑,有效提升了晶體管密度和電源效率,從而在不完全依賴下一代光刻技術的前提下,實現了顯著的性能和功耗優勢。

另外,臺積電奉行嚴格控制良率與風險的策略。該公司秉持其一貫的穩健作風,避免在成熟度不足、風險邊界較高的新技術大規模導入中盲目冒進。High-NA EUV作爲一項全新且高度複雜的設備,其大規模集成需要大量的驗證和調試時間,可能顯著延長良率爬坡期並增加成本。臺積電似乎更推崇通過“先求穩、再求快”的策略,旨在縮短風險試產週期,確保快速實現大規模量產和穩定的市場交付,從而維護其在全球晶圓代工領域的領先地位。

儘管當前臺積電不急於大規模採用High-NA EUV,但這並不意味着其完全排除未來使用的可能性。臺積電可能會在High-NA技術更爲成熟、成本效益更優,或其現有技術無法滿足未來極高密度需求時,再考慮將其導入更遠期的製程節點。

關於我們

TrendForce集邦諮詢是一家全球高科技產業研究機構,研究領域涵蓋存儲器、AI服務器、集成電路與半導體、晶圓代工、顯示面板、LED、AR/VR、新能源(含太陽能光伏、儲能和電池)、AI機器人及汽車科技等前沿科技領域。憑藉多年深耕,集邦致力於爲政企客戶提供前瞻性的行業研究報告、產業分析、項目規劃評估、企業戰略諮詢及品牌整合營銷服務,是高科技領域值得信賴的決策夥伴。

上下滑動查看

發現“分享”“贊”了嗎,戳我看看吧

免責聲明:投資有風險,本文並非投資建議,以上內容不應被視為任何金融產品的購買或出售要約、建議或邀請,作者或其他用戶的任何相關討論、評論或帖子也不應被視為此類內容。本文僅供一般參考,不考慮您的個人投資目標、財務狀況或需求。TTM對信息的準確性和完整性不承擔任何責任或保證,投資者應自行研究並在投資前尋求專業建議。

熱議股票

  1. 1
     
     
     
     
  2. 2
     
     
     
     
  3. 3
     
     
     
     
  4. 4
     
     
     
     
  5. 5
     
     
     
     
  6. 6
     
     
     
     
  7. 7
     
     
     
     
  8. 8
     
     
     
     
  9. 9
     
     
     
     
  10. 10